Wednesday 29 September 2010

Rajamouli ready to work with Pawan Kalyan


S.S.Rajamouli is the only one Director in telugu film industry who managed to get HIT talk for all his movies.
His latest movie with Sunil also did a business of 25 crores and got huge openings.He has been under pressure to make a movie with either Chiranjeevi or Pawan Kalyan since Magadheera time.Now when he was asked about this, he said “I am always ready to work with Pawan Kalyan, now he has to decide when to work with me“.Now with the ball in Pawan’s court, what will he decide?Pawan is already doing a children’s movie on jesus christ story titled as Traffic Jam, and next is Kushiga, then a movie under tamil director Vishnuvardhan’s direction is also committed.He has given a nod to Trivikram also and planning to remake a tamil movie Thambi Vettothi Sundaram.So when will Rajamouli – Pawan combination workout ??



Pawan Kalyan has 7 producers lined up for production with him


Powerstar Pawan Kalyan has 7 producers lined up for production with him after Komaram Puli.Though PULI got flop talk, his craze never came down.His children’s movie on Jesus Christ, supposed to be titled Traffic Jam, Love Aaj Kal remake Kushiga are already under production.Producers next in line are danayya, Arka Media(who made vedam and maryada ramanna), and BVSN Prasad (chatrapati and darling producer).One of them will be directed by Vishnuvardhan, who made Billa in tamil with Ajith.So it will be an action filled year coming up in 2011 for Pawanfans.



Tuesday 28 September 2010

Khaleja vs Brindavanam or NTR vs Mahesh?





Its a very rare occasion where movies of two big stars with huge crowd pulling capacity releasing with just 1 day gap. Khaleja and Brindavanam both have its positive and negative points. We have to wait and watch until Oct 8th to decide who is dussera star? and who wins the battle NTR or Mahesh babu?

Khaleja:

Positive Points:
 
·         Mahesh babu's film after 3 years.
·         Trivikam srinivas who had all hits in his career so far is directing this movie.
·         Anushka's screen presence
·         Comedy timing and dialogues of trivikram
Negatives:

·         Much awaited movies had failed miserably at box office due to high expectations
·         Just 10 days gap between Audio and Movie release
·         October is bad month for Mahesh babu as his earlier movies released in October are duds.
·         strong competition with Rajnikanth and NTR
Brindavanam:

Positive Points:

·         NTR's movie after a decent hit Adhurs
·         Kajal, Samantha adds the enough glamour quotient
·         Huge star cast including kota srinivas rao, prakash raj, srihari etc
·         Complete family entertainer
·         Audio function raised expectations on the film
Negatives:

·         Dil Raju who has successive flops is producing this movie.
·         Director's earlier movie Munna was a super dud at the box office.
·         strong competition with Rajnikanth and Mahesh
Apart from the starcast, its only the movie with content that will emerge as a winner? Lets see which movie stand out to be a winner at box office



Trisha was upset by Pawan Kalyan decision on Liplock in KUSHIGA


After Pawan Kalyan asked KUSHIGA movie director Jayanth C Paranji to remove the liplock scenes, heroine Trisha was upset.Trisha had done some smooch scenes with tamil heroes in past and she believes that those scenes brought her more craze.Now she wanted these kissing scenes in Kushiga, but Pawan thought that they might effect his image in between his fans.



Attaku Yamudu Ayyamyiki Mogudu remake with Ramcharan

Yester year heroine Sridevi wanted to launch her 13 year old daughter Jhanvi Kapoor alongside Ramcharan in Jagadeka Veerudu Atiloka Sundari’s sequel.But that story is not yet ready and now megafamily are planning to remake Chiranjeevi’s megahit movie, Attaku Yamudu Ayyamyiki Mogudu with Sridevi playing Vanisri’s role and her daughter Jhanvi playing vijayasanthi’s role, while Ramcharan will be their Yamudu and Mogudu respectively.The original movie was produced by Allu Aravind on his geetha arts banner and remake, if it happens will also be on same banner.It depends on if Sridevi will agree to make a comeback with aunt role..



Sunday 26 September 2010

R07 Regulation Percentage,Credits Calculator For JNTU


Hi, Friends its not possible to check marks and calculate percentage from your Marks Memo every time when you needed and its time waste, so here is an 'EXCELLENT file for you to store all your semester marks for all Branches of Engineering students of R07 Regulation.

This file shows graphical representation of percentage of each year, Credits achieved, Total marks and percentage of each semester and many more.Just add ur marks & check your statistics.



download here:


http://www.mediafire.com/?oas4s8vw982jegz



Friday 24 September 2010

Chiranjeevi wants Aishwarya Rai as heroine

.Megastar Chiranjeevi, who is preparing to make a strong comeback into telugu movies is trying to get dates of Aishwarya Rai as heroine.He will be going abroad for shaping his body next month and is expected to return with a slim look.Chiru has couple of scripts ready, one is periodical story of Uyyalawada Narasimha Reddy and other is a contemporary movie on water problems, which will be related to Polavaram Project.Although, Shriya and Nikesha Patel are also in race for this movie, Chiru seems to be interested in Aishwarya who could be the main heroine.By december, other cast and crew will be decided.Ramcharan will be producing this movie on his new banner, Surekha Productions



Tuesday 21 September 2010

How Many Animals are there in This Image


How Many Animals are there in This Image
Tell your answer as Comment to this Article below.. shall see… if you can name the animals…



This Is How Our Brain Works…


If your brain works normally this is neat.
This is another example of an amazing illusion!!! The last sentence is so true.
Photobucket
If your eyes follow the movement of the rotating pink dot, the dots will remain only one color, pink.
However if you stare at the black “+” in the centre, the moving dot turns to green.
Now, concentrate on the black “+” in the centre of the picture. After a short period, all the pink dots will slowly disappear, and you will only see only a single green dot rotating.
It’s amazing how our brain works. There really is no green dot , and the pink ones really don’t disappear. This should be proof enough, we don’t always see what we think we see.



Introduction to VHDL

VHDL is the acronym for Very High Speed Integrated Circuit Hardware Description Laguage.It is a Digital Integrated circuit design tool ,which is widely using now.There are many tools in IC designing that can be used in place of VHDL(Example Verilog).But VHDL is the most poplar HDL among all HDLs now using in industry.Initially VHDL was developed by U.S Department of Defence.

The initial version of VHDL, designed to IEEE standard 1076-1987included a wide range of data types, including numerical (integer and real), logical (bit and boolean), character and time, plus arrays of bit called bit_vector and of charactercalled string.

A problem not solved by this edition, however, was "multi-valued logic", where a signal's drive strength (none, weak or strong) and unknown values are also considered. This required IEEE standard 1164, which defined the 9-value logic types: scalar std_ulogic and its vector version std_ulogic_vector.

The second issue of IEEE 1076, in 1993, made the syntax more consistent, allowed more flexibility in naming, extended thecharacter type to allow ISO-8859-1 printable characters, added the xnor operator, etc.
Minor changes in the standard (2000 and 2002) added the idea of protected types (similar to the concept of class in C++) and removed some restrictions from port mapping rules.
In addition to IEEE standard 1164, several child standards were introduced to extend functionality of the language. IEEE standard 1076.2 added better handling of real and complex data types. IEEE standard 1076.3 introduced signed andunsigned types to facilitate arithmetical operations on vectors. IEEE standard 1076.1 (known as VHDL-AMS) provided analog and mixed-signal circuit design extensions.
Some other standards support wider use of VHDL, notably VITAL (VHDL Initiative Towards ASIC Libraries) and microwavecircuit design extensions.
In June 2006, VHDL Technical Committee of Accellera (delegated by IEEE to work on next update of the standard) approved so called Draft 3.0 of VHDL-2006. While maintaining full compatibility with older versions, this proposed standard provides numerous extensions that make writing and managing VHDL code easier. Key changes include incorporation of child standards (1164, 1076.2, 1076.3) into the main 1076 standard, an extended set of operators, more flexible syntax of 'case' and 'generate' statements, incorporation of VHPI (interface to C/C++ languages) and a subset of PSL (Property Specification Language). These changes should improve quality of synthesizable VHDL code, make testbenches more flexible, and allow wider use of VHDL for system-level descriptions.
In February 2008, Accellera approved VHDL 4.0 also informally known as VHDL 2008, which addressed more than 90 issues discovered during the trial period for version 3.0 and includes enhanced generic types. In 2008, Accellera released VHDL4.0 to the IEEE for balloting for inclusion in IEEE 1076-2008. The VHDL standard IEEE 1076-2008 was approved by REVCOM in September 2008.



VHDL MODEL OF 8:1(8 INPUT) MULTIPLEXER

Multiplexer is simply a data selector.It has multiple inputs and one output.Any one of the input line is transferred to output depending on the control signal.This type of operation is usually referred as multiplexing .In 8:1 multiplexer ,there are 8 inputs.Any of these inputs are transferring to output ,which depends on the control signal.For 8 inputs we need ,3 bit wide control signal .

Working:If control signal is "000" ,then the first input is transferring to output line.If control signal is "111",then the last input is transferring to output.Similarly for all values of control signals.A simple block diagram of 8:1 multiplexer is shown here.



Now see the VHDL code of 8:1 multiplexer

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY MUX8_1 IS
PORT(DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0);SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);DOUT:OUT STD_LOGIC);
END MUX8_1;
ARCHITECTURE BEH123 OF MUX8_1 IS
BEGIN
PROCESS(DIN,SEL)
BEGIN
CASE SEL IS
WHEN"000"=>DOUT<=DIN(0);
WHEN"001"=>DOUT<=DIN(1);
WHEN"010"=>DOUT<=DIN(2);
WHEN"011"=>DOUT<=DIN(3);
WHEN"100"=>DOUT<=DIN(4);
WHEN"101"=>DOUT<=DIN(5);
WHEN"110"=>DOUT<=DIN(6);
WHEN"111"=>DOUT<=DIN(7);
WHEN OTHERS=>
DOUT<='Z';
END CASE;
END PROCESS;
END BEH123;



Comparison of VHDL to Other Hardware Description Languages

VHDL Disadvantages
·         VHDL is verbose, complicated and confusing
·         Many different ways of saying the same thing
·         Constructs that have similar purpose have very different syntax (case vs. select)
·         Constructs that have similar syntax have very different semantics (variables vs signals)
·         Hardware that is synthesized is not always obvious (when is a signal a flip-flop vs latch vs combinational)
VHDL Advantages
·         VHDL supports unsynthesizable constructs that are useful in writing high-level models, testbenches and other non-hardware or non-synthesizable artifacts that we need in hardware design.
·         VHDL can be used throughout a large portion of the design process in different capacities, from specification to implementation to verification.
·         VHDL has static typechecking—many errors can be caught before synthesis and/or simulation.
·         VHDL has a rich collection of datatypes
·         VHDL is a full-featured language with a good module system (libraries and packages).
·         VHDL has a well-defined standard.
VHDL and Other Languages
·         VHDL vs Verilog
o    Verilog is a "simpler" language: smaller language, simple circuits are easier to write
o    VHDL has more features than Verilog
§  richer set of data types and strong type checking
§  VHDL offers more flexibility and expressivity for constructing large systems.
o    The VHDL Standard is more standard than the Verilog Standard
§  VHDL and Verilog have simulation-based semantics
§  Simulation vendors generally conform to VHDL standard
§  Some Verilog constructs don't simulate the same in different tools
o    VHDL is used more than Verilog in Europe and Japan
o    Verilog is used more than VHDL in North America
o    South-East Asia, India, South America - More Democratic
·         VHDL vs SystemC
o    System C looks like C —familiar syntax
o    C is often used in algorithmic descriptions of circuits, so why not try to use it for synthesizable code as well?
o    If you think VHDL is hard to synthesize, try C....
o    SystemC simulation is slower than advertised
·         VHDL vs Other Hardware Description Languages
o    Superlog: A proposed language that was based on Verilog and C. Basic core comes from Verilog. C-like extensions included to make language more expressive and powerful. Developed by the Co-Design company, but no longer under active development. Superlog has been superseded by SystemVerilog, see below.
o    SystemVerilog: A language originally proposed by Co-Design and now standardized by Accellera, an organization aimed at standardizing EDA languages. SystemVerilog is inspired by Verilog, Superlog, and System-C. SystemVerilog is a superset of Verilog aimed to support both high-level design and verification.
o    Esterelle: A language evolving from academia to commercial viability. Very clean semantics. Aimed at state machines, limited support for datapath operations.



Contact us

<<===POST YOUR COMMENTS HERE & MENTION THE POST LINK===>> foxyform

 
Blogger Widgets